Simple Altera FPGA Demo

This tutorial will show you how to turn on an LED using both the built-in LED on a development board as well as using a GPIO pin. I happen to be using a DE0 CV Dev board from Terasic. We will be using the Quartus design environment to do this.Software Requirements- Quartus design environment found h...
By: kdjohnson123

Continue Reading »

from Instructables: exploring - featured http://ift.tt/2zl06cl
via IFTTT